<noframes id="ixm7d"><noframes id="ixm7d"><rt id="ixm7d"><delect id="ixm7d"></delect></rt><noframes id="ixm7d"><rt id="ixm7d"><rt id="ixm7d"></rt></rt><rt id="ixm7d"></rt> <noframes id="ixm7d"><rt id="ixm7d"><delect id="ixm7d"></delect></rt><delect id="ixm7d"></delect><bdo id="ixm7d"></bdo><rt id="ixm7d"></rt><bdo id="ixm7d"></bdo><noframes id="ixm7d"><rt id="ixm7d"><rt id="ixm7d"></rt></rt><rt id="ixm7d"><rt id="ixm7d"></rt></rt><noframes id="ixm7d"><rt id="ixm7d"></rt><noframes id="ixm7d"><rt id="ixm7d"></rt> <noframes id="ixm7d"><rt id="ixm7d"></rt><noframes id="ixm7d"><noframes id="ixm7d"><noframes id="ixm7d"><rt id="ixm7d"></rt><noframes id="ixm7d"><noframes id="ixm7d"><noframes id="ixm7d"><rt id="ixm7d"></rt><noframes id="ixm7d"><rt id="ixm7d"></rt><noframes id="ixm7d"><rt id="ixm7d"></rt><noframes id="ixm7d">

eda課程設計題目參考

2023-06-20

第一篇:eda課程設計題目參考

2018春EDA課程設計安排及參考題目[大全]

EDA課程設計安排

要求:

1、至少完成一個設計任務,撰寫課程設計報告,交A4紙打印稿,字數不限,左側裝訂,單獨答辯考核,提前完成可提前答辯。

2、課程設計過程:選題、查資料、設計、實驗箱上實現(或者自己做實物)、寫報告、答辯。

3、參考題目和要求附后,自己選定題目后查閱資料進行設計,需要實驗驗證的可以到實驗室(1C06 325)進行實驗驗證。

4、可以自己選擇參考課題以外的課題,但難度和工作量不能少于參考題目的。

5、輔導答疑:辦公室(1C06 321),具體時間另行安排。

6、實驗室開放時間:上午8:30到 下午3:00,與李帥老師聯系,進實驗室時一定要穿鞋套。

7、課程設計報告必須交,每人單獨答辯,考核內容程序設計、仿真調試、硬件實現。

8、答辯時間另行通知。

電話:13846150185 QQ:276432828 郵箱:wq.wangquan@163.com 辦公室:1C06 321

EDA課程設計參考題目及要求

可自選其它題目

課程設計題一:八人搶答器

要求:

1、八人搶答邏輯:只有一個最先搶答有效。

2、在主持人控制下,10秒內搶答有效。

3、采用數碼管顯示搶答10秒倒計時,若有搶答直接結束,顯示結果。

4、搶答結束后用數碼管顯示搶答結果:搶答有效人編號;若有異常(提前搶答,犯規),顯示搶答人編號和E,本次搶答結束 。

5、設主持人控制鍵、復位鍵。

控制鍵:啟動搶答

復位鍵:系統復位

6、開始、正常結束、搶答結束、違規搶答采用聲音提示。

課程設計題二:7人多數表決器

要求:

1、7人多數表決邏輯:多數通過。

2、在主持人控制下,10秒內表決有效。

3、采用數碼管顯示表決10秒倒計時。

4、表決結束后用發光二極管及數碼管顯示表決結果,數碼管顯示結果:通過、不通過,同意人數。

5、設主持人控制鍵、復位鍵。

控制鍵:啟動表決

復位鍵:系統復位

6、表決開始、結束采用聲音提示。

課程設計題三:日歷和數字種

要求:

1、數字鐘:時、分,小時用二十四進制顯示,分鐘用六十進制顯示。

2、每月日歷為30天,并顯示星期幾。

3、顯示采用動態數碼管顯示方式或靜態顯示方式。

動態時顯示八位:日期 星期幾 小時 分鐘

靜態時顯示四位:分別顯示(日期30天 星期)和(小時 分鐘)采用控制鍵切換或周期輪流顯示。

4、具有清零的功能,且能夠對小時、分鐘進行調整(校時)。

5、具有整點、半點報時功能,整點用聲音次數報時,半點特殊聲音報時。

課程設計題四:LED彩燈控制器設計

要求:

1、至少10個發光管4種花樣自動變換,循環往復。

2、彩燈花樣變換的快慢節拍可以手動和自動方式控制,手動控制按鈕按一次轉換一次;自動控制方式每15秒變換一次,1分鐘循環一遍。

3、系統復位清零開關。

課程設計題五:汽車尾燈控制器設計

要求:

1、汽車車尾左右兩側各有四盞燈:黃燈、紅燈、霧燈、倒車照明燈,前面有照明燈(遠光、近光)、黃燈、霧燈

2、白天正常行駛時照明燈都不亮,夜間行駛時兩個前照明燈都亮。

3、左轉彎時左側黃燈閃亮(2Hz),右轉彎時右側黃燈閃亮。

4、汽車剎車時,兩個紅燈都亮。

5、能見度低或者夜間行駛時霧燈點亮。

6、倒車時紅燈、倒車照明燈亮。

課程設計題六:秒表

要求:

1、計時范圍:0~59分59.59秒,整數四位數和小數兩位數顯示;

2、計時精度10毫秒;

3、復位按鈕,計時器清零,并做好下次計時準備;

4、可以對兩個對象(A、B)計時,具有啟/??刂?

3、設開始、停止A、停止B、顯示A、顯示B、復位按鈕。

課程設計題七:交通燈控制器

要求:

1、紅燈和綠燈相互轉換時經過黃燈,黃燈閃爍三次(6秒);

2、主干道方向通行30秒,輔干道方向通行20秒,單獨左轉信號15秒;先直行信號,后左轉信號。

3、設手動、自動、特殊情況三種方式。

4、特殊情況時所有路口紅燈都亮,給120、110等通行。

5、自動時顯示各種狀態倒計時。

課程設計題八:籃球比賽計分器

要求:

1、兩隊各三位顯示,共計六位數碼顯示;

2、設A、B隊加、減分按鍵,復位鍵;

3、加減分實現1分、2分、3分加減。

課程設計題九:循環碼編碼器和譯碼器設計

要求:

1、單獨設計一個8位循環碼編碼器;

2、單獨設計一個8位循環碼譯碼器;

3、兩個模塊連在一起工作。

課程設計題十:簡易電子琴設計

要求:

1、設置三種音階:低音、中音、高音控制按鍵;

2、設計

1、

2、

3、

4、

5、

6、7音(哆來咪法搜拉稀);

3、能彈奏一首簡單的兒歌。

課程設計題十一:拔河游戲機設計

要求:

1、電路使用9個發光二極管表示拔河的“電子繩”,開機后只有中間一個發亮,此即拔河的中心點。游戲甲乙雙方各持一個按鈕,迅速地、不斷地按動產生脈沖,誰按得快,亮點向誰方向移動,每按一次,亮點移動一次。亮點移到任一方終端二極管,這一方就獲勝,此時雙方按鈕均無作用,輸出保持,只有復位后才使亮點恢復到中心。

2、由裁判下達比賽開始命令后,甲乙雙方才能輸入信號,否則,輸入信號無效。

3、用數碼管顯示獲勝者的盤數,每次比賽結束自動給獲勝方加分。

課程設計題十二:電子密碼鎖

要求:

1、在鎖開的狀態下輸入密碼,設置的密碼共4位,用數據開關K1∼K10分別代表數字

1、

2、…、

9、0,輸入的密碼用數碼管顯示,最后輸入的密

碼顯示在最右邊的數碼管上,即每輸入一位數,密碼在數碼管上的顯示左移一位??蓜h除輸入的數字,刪除的是最后輸入的數字,每刪除一位,密碼在數碼管的顯示右移一位,并在左邊空出的位上補充“0”。

2、用一位輸出電平的狀態代表鎖的開閉狀態,綠色發光管指示。

3、為保證密碼鎖主人能打開密碼鎖,設置一個萬能密碼,在主人忘記密碼時使用。

第二篇:EDA課程設計題目_圖文.

課程設計題目

設計一數字式競賽搶答器

1、設計一個可容納6 組(或4 組參賽的數字式搶答器,每組設一個按鈕,供搶答使用。

2、搶答器具有第一信號鑒別和鎖存功能,使除第一搶答者外的按鈕不起作用。

3、設置一個主持人“復位”按鈕。

4、主持人復位后,開始搶答,第一信號鑒別鎖存電路得到信號后,有指示燈顯示搶答組別,揚聲器發出2~3 秒的音響。

5、設置一個計分電路,每組開始預置100 分,由主持人記分,答對一次加10 分,答錯一次減10 分。教學提示:

1、此設計問題的關鍵是準確判斷出第一搶答者并將其鎖存,實現的方法可使用觸發器或鎖存器,在得到第一信號后將輸入封鎖,使其它組的搶答信號無效。

2、形成第一搶答信號后,用編碼、譯碼及數碼顯示電路顯示第一搶答者的組別,用第一搶答信號推動揚聲器發出音響。

3、計分電路采用十進制加/減計數器、數碼管顯示,由于每次都是加/減10 分,所以個位始終為零,只要十位、百位進行加/減運算即可。

設計二數字鐘

1、設計一個能顯示1/10秒、秒、分、時的12小時數字鐘。

2、熟練掌握各種計數器的使用。

3、能用計數器構成十進制、六十進制、十二進制等所需進制的計數器。

4、能用低位的進位輸出構成高位的計數脈沖。

教學提示:

1、時鐘源使用頻率為0.1Hz的連續脈沖。

2、設置兩個按鈕,一個供“開始”及“停止”用,一個供系統“復位”用。

3、時鐘顯示使用數碼管顯示。

4、“時顯示”部分應注意12點后顯示1點。

5、注意各部分的關系,由低位到高位逐級設計、調試。 設計三數字頻率計

1、設計一個能測量方波信號的頻率的頻率計。

2、測量的頻率范圍是0?999999Hz。

3、結果用十進制數顯示。 教學提示:

1、脈沖信號的頻率就是在單位時間內所產生的脈沖個數,其表達式為,f為被測信號的頻率,N為計數器所累計的脈沖個數,T為產生N個脈沖所需的時間。所以,在1秒時間內計數器所記錄的結果,就是被測信號的頻率。

2、被測頻率信號取自實驗箱晶體振蕩器輸出信號,加到主控門的輸入端。

3、再取晶體振蕩器的另一標準頻率信號,經分頻后產生各種時基脈沖:1ms,10ms,0.1s,1s等,時基信號的選擇可以控制,即量程可以改變。

4、時基信號經控制電路產生閘門信號至主控門,只有在閘門信號采樣期間內(時基信號的一個周期,輸入信號才通過主控門。

5、f=N/T,改變時基信號的周期T,即可得到不同的測頻范圍。

6、當主控門關閉時,計數器停止計數,顯示器顯示記錄結果,此時控制電路輸出一個置零信號,將計數器和所有觸發器復位,為新的一次采樣做好準備。

7、改變量程時,小數點能自動移位。 設計四拔河游戲機

1、設計一個能進行拔河游戲的電路。

2、電路使用15個(或9個發光二極管,開機后只有中間一個發亮,此即拔河的中心點。

3、游戲雙方各持一個按鈕,迅速地、不斷地按動,產生脈沖,誰按得快,亮點就向誰的方向移動,每按一次,亮點移動一次。

4、亮點移到任一方終端二極管時,這一方就獲勝,此時雙方按鈕均無作用,輸出保持,只有復位后才使亮點恢復到中心。

5、用數碼管顯示獲勝者的盤數。 教學提示:

1、按鈕信號即輸入的脈沖信號,每按一次按鈕都應能進行有效的計數。

2、用可逆計數器的加、減計數輸入端分別接受兩路脈沖信號,可逆計數器原始輸出狀態為0000,經譯碼器輸出,使中間一只二極管發亮。

3、當計數器進行加法計數時,亮點向右移;進行減法計數時,亮點向左移。

4、由一個控制電路指示誰勝誰負,當亮點移到任一方終端時,由控制電路產生一個信號,使計數器停止計數。

5、將雙方終端二極管“點亮”信號分別接兩個計數器的“使能”端,當一方取勝時,相應的計數器進行一次計數,這樣得到雙方取勝次數的顯示。

6、設置一個“復位”按鈕,使亮點回到中心,取勝計數器也要設置一個“復位”按鈕,使之能清零。

設計五乒乓球比賽游戲機

1、設計一個由甲、乙雙方參賽,有裁判的3人乒乓球游戲機。

2、用8個(或更多個LED排成一條直線,以中點為界,兩邊各代表參賽雙方的位置,其中一只點亮的LED 指示球的當前位置,點亮的LED依此從左到右,或從右到左,其移動的速度應能調節。

3、當“球”(點亮的那只LED運動到某方的最后一位時,參賽者應能果斷地按下位于自己一方的按鈕開關,即表示啟動球拍擊球。若擊中,則球向相反方向移動;若未擊中,則對方得1分。

4、一方得分時,電路自動響鈴3秒,這期間發球無效,等鈴聲停止后方能繼續比賽。

5、設置自動記分電路,甲、乙雙方各用2位數碼管進行記分顯示,每計滿21分為1局。

6、甲、乙雙方各設一個發光二極管,表示擁有發球權,每隔5次自動交換發球權,擁有發球權的一方發球才有效。

教學提示:

1、用雙向移位寄存器的輸出端控制LED顯示來模擬乒乓球運動的軌跡,先點亮位于某一方的第1個LED,由擊球者通過按鈕輸入開關信號,實現移位方向的控制。

2、也可用計數譯碼方式實現乒乓球運動軌跡的模擬,如利用加/減計數器的2個時鐘信號實現甲、乙雙方的擊球,由表示球拍的按鈕產生計數時鐘,計數器的輸出狀態經譯碼驅動LED發亮。

3、任何時刻都保持一個LED發亮,若發亮的LED運動到對方的終點,但對方未能及時輸入信號使其向相反方向移動,即失去1分。

4、控制電路決定整個系統的協調動作,必須嚴格掌握各信號之間的關系。 設計六交通信號燈控制器

1、設計一個交通信號燈控制器,由一條主干道和一條支干道匯合成十字路口,在每個入口處設置紅、綠、

黃三色信號燈,紅燈亮禁止通行,綠燈亮允許通行,黃燈亮則給行駛中的車輛有時間停在禁行線外。

2、紅、綠、黃發光二極管作信號燈,用傳感器或邏輯開關作檢測車輛是否到來的信號。

3、主干道處于常允許通行的狀態,支干道有車來時才允許通行。主干道亮綠燈時,支干道亮紅燈;支干道亮綠燈時,主干道亮紅燈。

4、主、支干道均有車時,兩者交替允許通行,主干道每次放行45秒,支干道每次放行25秒,設立45秒、25秒計時、顯示電路。

5、在每次由綠燈亮到紅燈亮的轉換過程中,要亮5秒黃燈作為過渡,使行駛中的車輛有時間停到禁行線外,設立5秒計時、顯示電路。

教學提示:

1、主、支干道用傳感器檢測車輛到來情況,實驗電路用邏輯開關代替。

2、選擇1HZ 時鐘脈沖作為系統時鐘。

3、45 秒、25 秒、5 秒定時信號可用順計時,也可用倒計時,計時起始信號由主控電路給出,每當計滿所需時間,即向主控電路輸出“時間到”信號,并使計數器清零,由主控電路啟、閉三色信號燈或啟動另一計時電路。

4、主控電路是核心,這是一個時序電路,其輸入信號為:車輛檢測信號(A,B,;45 秒、25 秒、5 秒定時信號(C,D,E,其輸出狀態控制相應的三色燈。主控電路可以由兩個JK 觸發器和邏輯門構成,其輸出經譯碼后,控制主干道三色燈R、G、Y 和支干道三色燈r、g、y。

設計七電子密碼鎖

1、設計一個密碼鎖的控制電路,當輸入正確代碼時,輸出開鎖信號以推動執行機構工作,用紅燈亮、綠燈熄滅表示關鎖,用綠燈亮、紅燈熄滅表示開鎖;

2、在鎖的控制電路中儲存一個可以修改的4 位代碼,當開鎖按鈕開關(可設置成6 位至8 位,其中實際有效為4 位,其余為虛設的輸入代碼等于儲存代碼時,開鎖;

3、從第一個按鈕觸動后的5 秒內若未將鎖打開,則電路自動復位并進入自鎖狀態,使之無法再打開,并由揚聲器發出持續20 秒的報警信號。

教學提示:

1、該題的主要任務是產生一個開鎖信號,而開鎖信號的形成條件是,輸入代碼和已設密碼相同。實現這種功能的電路構思有多種,例如,用兩片8位鎖存器,一片存入密碼,另一片輸入開鎖的代碼,通過比較的方式,若兩者相等,則形成開鎖信號。

2、在產生開鎖信號后,要求輸出聲、光信號,聲音的產生由開鎖信號觸動揚聲器工作,光信號由開鎖信號點亮LED 指示燈;

3、用按鈕開關的第一個動作信號觸發一個5 秒定時器,若5 秒內無開鎖信號產生,讓揚聲器發出特殊音響,以示警告,并輸出一個信號推動LED 不斷閃爍。

設計八彩燈控制器

1、設計一個彩燈控制器,使彩燈(LED 管能連續發出四種以上不同的顯示形式;

2、隨著彩燈顯示圖案的變化,發出不同的音響聲。教學提示: 教學提示

1、彩燈顯示的不同形式可由不同進制計數器驅動LED 顯示完成;

2、音響由選擇不同頻率CP 脈沖驅動揚聲器形成。 設計九脈沖按鍵電話顯示器

1、設計一個具有8 位顯示的電話按鍵顯示器;

2、能準確地反映按鍵數字;

3、顯示器顯示從低位向高位前移,逐位顯示按鍵數字,最低位為當前輸入位;

4、*設置一個“重撥”鍵,按下此鍵,能顯示最后一次輸入的電話號碼;

5、*掛機2 秒后或按熄滅按鍵,熄滅顯示器顯示。 教學提示:

1、利用中規模計數器的予置數功能可以實現不同的按鍵對應不同的數字;

2、設置一個計數器記錄按鍵次數,從而實現數字顯示的移位。 設計十簡易電子琴

1、設計一個簡易電子琴;

2、利用實驗箱的脈沖源產生1,2,3,……共7 個或14 個音階信號;

3、用指示燈顯示節拍;

4、*能產生顫音效果。 教學提示:

1、各音階信號由脈沖源經分頻得到。

設計十一出租車自動計費器

1、設計一個出租車自動計費器,具有行車里程計費、等候時間計費、及起價三部分,用四位數碼管顯示總金額,最大值為99.99 元;

2、行車里程單價1 元/公里,等候時間單價0.5 元/10 分鐘,起價3 元(3 公里起價均能通過人工輸入。

3、行車里程的計費電路將汽車行駛的里程數轉換成與之成正比的脈沖數,然后由計數譯碼電路轉換成收費金額,實驗中以一個脈沖模擬汽車前進十米,則每100 個脈沖表示1 公里,然后用BCD 碼比例乘法器將里程脈沖乘以每公里單價的比例系數,比例系數可由開關預置。例如單價是 1.0 元/公里,則脈沖當量為0.01 元/脈沖。

4、用LED 顯示行駛公里數,兩個數碼管顯示收費金額。 教學提示:

1、等候時間計費需將等候時間轉換成脈沖個數,用每個脈沖表示的金額與脈沖數相乘即得計費數,例如100 個脈沖表示10 分鐘,而10 分鐘收費0.5 元,則脈沖當量為0.05 元/脈沖,如果將脈沖當量設置成與行車里程計費相同(0.01 元/脈沖,則10 分鐘內的脈沖數應為500 個。

2、用LED 顯示等候時間,兩個數碼管表示等候時間收費金額。

3、用加法器將幾項收費相加,P=P1+P2+P3,

4、P1 為起價,P2 為行車里程計費,P3 為等候時間計費,用兩個數碼管表示結果。

設計十二洗衣機控制器

1、設計一個電子定時器,控制洗衣機作如下運轉:定時啟動→正轉20 秒→暫停10 秒→反轉20 秒→暫停10 秒→定時未到回到“正轉20 秒→暫停10 秒→……” ,定時到則停止;

2、若定時到,則停機發出音響信號;

3、用兩個數碼管顯示洗滌的預置時間(分鐘數按倒計時方式對洗滌過程作計時顯示,,直到時間到停機;洗滌過程由“開始”信號開始;

4、三只LED 燈表示“正轉”、“反轉”、“暫停”三個狀態。 教學提示:

1、設計20 秒、10 秒定時電路。

2、電路輸出為“正轉”、“反轉”、“暫停”三個狀態。

3、按照設計要求,用定時器的“時間到”信號啟動相應的下一個定時器工作,直到整個過程結束。

13. 可控脈沖發生器 內容及要求: 實現周期、占空比均可調的脈沖發生器。 (1采用1khz的工作時鐘; (2脈沖周期0.5s~6s,占空比10%~90%; (3可初始化:周期2.5s,占空比50%; 14. 8bit序列檢測器 內容及要求:

完成從2bit輸入碼流中檢測特定8bit數據的電路,具體要求如下: (1輸入2bit碼流,msb在前,4個周期的數據組成一個結構化字節; (2檢測序列0x7e; (3成功檢測到特定序列后,點亮一個LED; (4操作中采用開關作為數據輸入,按鍵作為有效數據指示信號; (5工作時鐘選擇1k即可; (6完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、下載驗

證等。

15人表決電路設計 內容及要求

完成7人表決電路設計,LED燈表示通過、否決。 (1開關表示贊成與否,1~8編號(1贊成; (2LED顯示表決的結果; (3數碼管分別顯示贊成、否決的人數; (4工作時鐘100Hz即可; (5完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、時序仿

真、下載驗證等。

16排隊電路設計 內容及要求

單窗口排隊機電路,給每個新來者編號,并計算隊伍長度。

(1進隊、離隊兩個信號作為輸入,當前服務號碼和隊長各由4個數碼管顯示; (2初始時隊長0,進隊號碼由1順序遞增,輸出編號; (3有人入隊,長度加,有人離隊長度減; (4工作時鐘適當即可; (5完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、時序仿

真、下載驗證等。 17算術計算器電路設計 內容及要求

實現一位十進制數的加減乘除元算,結果顯示在數碼管上。 (1鍵盤作為運算數據輸入; (2不同位置的按鍵代表運算符; (32個數碼管顯示運算結果; (4支持連續運算; (5完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、下載驗

證等。

18電梯控制器電路設計 內容及要求

設計智能電梯運行控制電路,板級驗證用按鍵/開關與數碼管/LED表示輸入與輸出。

(1樓層4層; (2梯內顯示當前樓層、梯外各層顯示當前電梯所在樓層; (31層只有向上按鈕,4層只有向下按鈕,其余各層均有上下按鈕; (4電梯具有鎖定功能(梯內; (5超重/超員報警(電路有空載、超重、乘客入梯指示輸入; (6采用Altera的cyclone器件,目標板soc實驗室開發板; (7設計相應的調度算法,使運營成本最低; (8完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、后仿真、

下載驗證等。

19 LCD模塊接口電路設計 內容及要求

實現NiosII驅動240X128LCD顯示。

(1T6963C控制器,顯示模塊與CPU的接口采用標準的8位微處理器接口,即D0~D

7、nRD、nWR、nCE

以及C/D信號,當C/D為„1?時,選中指令通道,數據總線上的數據均為指令;當C/D為„0?時,選中數據通道,數據總線上的數據為寫入LCD或讀出的有效數據。8bit輸入,串行輸出,伴有串行信號msb指示; (2完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、時序仿

真、下載驗證等。 20 音頻信號存儲與播放 內容及要求

(14.096s音頻信號,8kA/D轉換為8bit數據,共32768字節,存儲播放; (2音頻數據自行解決,可采用語音傳感器采集; (3揚聲器播放; (4可以部分播放,時長可控; (5完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、時序仿

真、下載驗證等。 21 脈寬調制電路設計 內容及要求 脈寬調制電路。 (1脈寬可調; (2周期可調;

(3完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、下載驗

證等。

22. 計時器電路設計 內容及要求

設計時、分、秒計時器電路,數碼管顯示輸出。 (1輸入10K精準時鐘; (2具有時、分、秒計時功能,24小時制; (3時分秒均可手動調整校正; (4計時信息有6個數碼管顯示輸出,格式hhmmss;或者8個數碼管顯示輸出,格式hh:mm:ss; (5完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、下載驗

證等。

23 FIR濾波器電路設計 內容及要求

完成有限沖激響應低通數字濾波器設計。 (1濾波頻率20kHz; (2增益不作要求,抽頭系數自行計算; (3同步電路設計;

(4完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、下載驗

證等。

24自動售貨機電路 內容及要求

設計自動售貨機電路,要求如下: (1待售物品價格1元、2元、3元、五元; (2只接受1元、5元、10元幣值; (3機內存有1元零錢無限; (4投入錢幣之前認為售貨機為空閑狀態;投入錢幣后需要按下物品標簽吐出商品; (5自動找零; (6完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、下載驗

證等。

25. 排隊電路設計 內容及要求

單窗口排隊機電路,給每個新來者編號,并計算隊伍長度。

(1進隊、離隊兩個信號作為輸入,當前服務號碼和隊長各由4個數碼管顯示; (2初始時隊長0,進隊號碼由1順序遞增,輸出編號;

(3有人入隊,長度加,有人離隊長度減; (4工作時鐘適當即可; (5完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、時序仿

真、下載驗證等。

全勤“√”遲到“×”請假“⊙”早退“*”

1引言

EDA是英文"Electronics Design Automation(電子設計自動化"的縮寫。EDA技術是近幾年迅速發展起來的計算機軟件、硬件和微電子交叉的現代電子設計學科,是現代電子工程領域的一門新技術。它是以可編程邏輯器件(PLD為物質基礎,以計算機為工作平臺,以EDA工具軟件為開發環境,以硬件描述語言(HDL作為電子系統功能描述的主要方式,以電子系統設計為應用方向的電子產品自動化設計過程。

VHDL是常用的HDL,它的英文全名是VHSIC"Very High Speed Integrated Circuit Handware Description Language(高速集成電路硬件描述語言",VHDL語言具有很強的電路描述和建模能力,能簡化硬件設計任務,提高設計的效率和可靠性。

Quartus II是Alters提供的FPGA"Field Programmable Gate Array(現場可編程門陣列"和CPLD "Complex programmable Logic Device(復雜可編程邏輯器件"的開發集成環境,Alters是世界最大可編程邏輯器件供應商之一。Alters的Quartus II提供了完整的多平臺設計環境,能滿足各種特定設計的需要,也是單芯片可編程系統((SOPC設計的綜合性環境和SOPC開發的基本設計工具,并為Alters DSP開發包進行系統模型設計提供了集成綜合環境。

2設計原理

本文通過利用數控分頻器設計硬件樂曲演奏電路(電子琴的設計實例,使讀者初步了解 VHDL硬件描述語言和Quartus II開發環境。本設計是在Alters的FPGA芯片CycloneEP1C6和杭州康芯電子有限公司生產的GW48系列EDA實驗系統基礎上完成的,硬件測試用實驗系統的電路模式3,特點是有8個琴鍵式鍵控發生器,可用于設計八音琴等電路系統,也可以產生時間長度可控的單次脈沖。該電路有8個譯碼輸出顯示的數碼管,以顯示目標芯片的32位輸出信號,且8個發光管也能顯示目標器件的8位輸出信號。時鐘為SOMHz.輸出接揚聲器。

主系統TONETABA.VHD和SPEAKER.VHD 2 個模塊組成: (1音符的頻率可以由SPEAKER獲得,這是一個數控分頻器。由其clk端輸人一具有較高頻率(這里是12MHZ的信號,通過SPEAKERA分頻后由SPKOUT輸出。

(2音符的持續時間須根據樂曲的速度及每個音符的節拍數決定,模塊TONETABA的功能是為SPEAKERA提供決定所發音的分頻預置值,而此數在SPEAKERA輸人口停留的時間即為此音符的節拍值。

3 邏輯時序仿真 4 結論 本文作者創新點是基于 FPGA 完成樂曲演奏電路,在 Altera Quartus II 環境下,用 VHDL 語言實現電子琴 演奏音樂的設計實例,設計者根據 VHDL 的語法規則,對系統的邏輯行為進行描述,然后通過綜合工具進行電路 結構的綜合、編譯、優化,用仿真,可在短時間內設計出高效、穩定、符合設計要求的電路。本設計還可擴展 為多個音階的電子琴,并利用 FPGA 內部的 ROM 裝上多首歌曲,可用手動或自動選擇歌曲。與利用微處理器(CPU 和 MCU來實現樂曲演奏相比,可以很簡單的設計出多種演奏電路具有高性能,高可靠性等優點,在性能價格比 方面優于同類產品,有著廣泛的應用前景和使用價值。

第三篇:PLC課程設計參考題目

1.停車場車位控制 2.病床呼叫系統 3.自助洗車機控制 4.自動售貨機控制系統設計 5.液體混合裝置控制系統設計 6.霓虹燈PLC控制系統設計 7.四層電梯PLC控制系統設計 8.車輛出入庫控制系統設計 9.全自動洗衣機控制系統設計 10.啤酒包裝自動控制系統設計 11.十字路口交通燈控制系統程序設計 12.軋鋼機控制系統程序設計 13.挖土機控制系統程序設計

14. 基于PLC的智能交通燈控制系統設計 15. PLC控制的升降橫移式自動化立體車庫 16. PLC控制的花樣噴泉 17. PLC控制的搶答器設計

18. 基于PLC的機械手控制系統設計 19. 自診斷水塔水位自動控制 20. 電子計算器設計 21. 郵件分撿控制

22. PLC控制自動門的設計 23. PLC控制鍋爐輸煤系統 24. PLC控制變頻調速電梯設計 25. 自動送料裝車系統PLC控制設計 26. 基于PLC的礦井提升機控制系統設計 27. 多種液體混合加熱PLC控制系統設計 28. 成型機全自動控制

29. PLC控制的自動罐裝機系統設計 30. 步進電機控制 31. 全自動皮帶運輸機設計

32. 基于PLC的礦井通風機控制系統設計 33. 基于PLC的礦井排水系統設計 34. 基于PLC的變頻恒壓供水系統設計 35. 工業污水處理的PLC控制 36. 彩燈廣告屏的PLC控制 37. 組合車床的PLC控制系統設計 38. PLC控制的自動售貨機

39. 自動裝箱生產線的PLC控制系統 40. 加熱反應爐的PLC控制

第四篇:單片機課程設計要求及參考題目

《單片機原理與應用》課程設計要求

一、目的:

本課程設計是《單片機原理與應用》課程的綜合、設計性實驗,作為課堂教學和課內正常實驗的補充和提高。通過對《單片機原理與應用》課程的學習,學生已初步掌握51單片機的基本原理,以及并行口、串行口、中斷、定時等基本原理及應用,課內實驗主要以實驗指導書為參考,難以充分發揮學生自主設計動手能力。通過《單片機原理與應用》課程設計,加強學生自主學習,鞏固學習成果,提高學生綜合應用單片機技術的實踐能力和創造思維。為后續相關專業課程學習打好基礎,培養學生專業知識的綜合應用能力,與就業需求相接軌。

二、實驗安排:

課程設計內容和要求的安排與講解在課程設計之初進行。 上機機時安排:共32個課內機時,不足部分自行安排。

三、課程設計說明:

本次課程設計平臺使用課程單片機開發實驗箱(或學生自購單片機開發板)+實驗室PC.,對于有特殊要求的學生,可以自行購買元件,焊接電路板,達到實驗要求。

課程設計結束后,每個小組提交課程設計成果如下: ? 系統完成后,要求演示效果,演示時采用答辯形式,由各小組同學邊演示邊講解思路。

? 課程設計報告一份,報告中可不包括源代碼(程序代碼刻錄光盤)。

四、成績評分內容 課設考勤情況 系統運行效果

系統講解和問題回答情況 設計報告完成情況。

五、參考題目

以下題目根據難度,分為ABC三個等級。請自行選擇。 A級滿分為100分。 B級滿分為90分。 C級滿分為80分。 1.交通燈(C) 要求利用實驗箱上的LED燈模擬十字路口交通燈

(1)東西方向顯示綠燈時,南北方向顯示紅燈,持續10秒鐘后,綠燈變為黃燈并持續3秒鐘;

(2)然后東西方向顯示紅燈,南北方向顯示綠燈,持續10秒鐘后,綠燈變黃燈并持續3秒鐘,如此反復。 2.電子顯示屏(B)

要求用一個按鍵控制實驗箱上的點陣模塊。按下按鍵后,在顯示屏上輪流顯示“文華學院”字樣;再次按鍵后,顯示屏上無任何顯示。 3.電子數字鐘(A)

要求利用實驗箱上的數碼管模擬電子數字鐘。顯示時、分、秒,并且隨時間跳變。在整點時,啟動蜂鳴器。 4.模擬量采集系統(A) 要求利用實驗箱上的傳感器采集模擬量。 (1) 利用溫度傳感器采集溫度,進行AD轉換。 (2) 將采集到的溫度顯示在數碼管上。 5.雙機串行通信(C) 要求采用串行通信方式1,波特率為600,甲單片機交替發送55H, CCH,乙單片機接收,并將接收到的數據顯示在數碼管上。 6.矩陣鍵盤(C) 要求利用實驗箱上的矩陣鍵盤輸入1-8的任意字符,在數碼管上依次顯示出來。 7.搶答器(B) 要求制作一個4人搶答器。無人搶答時,4個燈跑馬循環,誰先按下,相對應的燈亮起,同時蜂鳴器發聲。 8.波形發生器(B) 要求產生方波、鋸齒波、三角波、正弦波信號。 (1)不同的波形用不同的符號顯示在一個數碼管上。 (2)用4個數碼管顯示幅值。

9.音樂盒(A) 要求按下實驗箱上的鍵盤,利用蜂鳴器產生1-i各種音階,演奏出不同的音樂。 10.液晶屏顯示(A)

要求控制LCD液晶屏,在屏幕上顯示文字。并且操作按鍵時,屏幕上顯示按鍵的編號。 11.紅外遙控設計(A) 要求利用紅外遙控,向單片機傳輸信號。當遙控按下具體數字時,單片機將數字顯示在數碼管上。 12.學生自主設計(A)

學生自己提出設計思路,經老師認可后,開始進行設計,并達到預期目標。

《單片機課程設計》報告

題目: 專業年級:

學號:

姓名: 指導教師: 同組設計者:

20 年 月 日

《課程設計報告》主要內容

[設計題目]

[設計要求] 描述選題目內容,簡述要求完成的功能 [開發工具] 包括硬件和軟件環境 [硬件設計]

1. 電路原理圖 2. 電路設計說明 [軟件設計] 1. 軟件設計流程 2. 重要程序代碼 [系統調試] 說明上機調試時碰到的問題及解決方法。 [心得及體會] 此部分說明通過本次課程設計所得的收獲及體會。 [參考文獻] (至少3篇)

第五篇:EDA課程設計時鐘

EDA

課程設計

姓名:

學號:

班級:自動化

設計題目

多功能數字鐘電路設計

設計任務及要求

多功能數字鐘應該具有的功能有:顯示時—分—秒、小時和分鐘可調等基本功能。整個鐘表的工作應該是在1Hz信號的作用下進行,這樣每來一個時鐘信號,秒增加1秒,當秒從59秒跳轉到00秒時,分鐘增加1分,同時當分鐘從59分跳轉到00分時,小時增加1小時,小時的范圍為0~23時。

在實驗中為了顯示的方便,由于分鐘和秒鐘顯示的范圍都是從0~59,所以可以用一個3位的二進制碼顯示十位,用一個四位的二進制碼(BCD碼)顯示個位,對于小時因為他的范圍是從0~23,所以可以用一個2位的二進制碼顯示十位,用一個4位的二進制碼(BCD碼)顯示個位。

實驗中由于七段碼管是掃描的方式

顯示,所以雖然時鐘需要的是1Hz時鐘信號,但是掃描需要一個比較高頻率的信號,因此為了得到準確的1Hz信號,必須對輸入的系統時鐘50Mhz進行分頻。

調整時間的按鍵用按鍵模塊的S1和S2,S1調節小時,每按下一次,小時增加一個小時;S2調整分鐘,每按下一次,分鐘增加一分鐘。另外用S8按鍵作為系統時鐘復位,復位后全部顯示00—00—00。

三.基于Verilog

HDL語言的電路設計、仿真與綜合

(一)頂層模塊

本程序采用結構化設計方法,將其分為彼此獨立又有一定聯系的三個模塊,如圖1所示:

圖1:頂層結構框圖

(二)子模塊

1.分頻器

分頻器的作用是對50Mhz的系統時鐘信號進行分頻,得到頻率為1000hz的信號,作為顯示器的輸入信號。

源程序如下:

module

fenpin(input

CP,

output

CPout

);

reg

CPout;

reg

[31:0]

Cout;

reg

CP_En;

always

@(posedge

CP

)

//將50MHz分頻為1kHz

begin

Cout

<=

(Cout

==

32'd50000)

?

32'd0

:

(Cout

+

32'd1);

CP_En

<=

(Cout

==

32'd50000)

?

1'd1

:

1'd0;

CPout

<=

CP_En;

end

endmodule

功能仿真波形如圖2所示(以五分頻為例):

2.控制器和計數器

控制器的作用是,調整小時和分鐘的值,并能實現清零功能。計數器的作用是實現分鐘和秒鐘滿60進1,小時則由23跳到00。當到達59分55秒的時候,LED燈會閃爍來進行報時。因為控制器和計數器的驅動信號頻率均為1Hz,故從分頻器輸出的信號進入控制器后,要進行二次分頻,由1Khz變為1Hz。

if(Clk_En)

begin

if(R1==1)

begin

if(Hour<24)

Hour=Hour+1;

if(Hour==24)

begin

Hour=0;

end

R1=0;

end

if(R2==1)

begin

if(Minute<60)

Minute=Minute+1;

if(Minute==60)

begin

Minute=0;

if(Hour<24)

Hour=Hour+1;

if(Hour==24)

begin

Hour=0;

End

end

R2=0;

end

if(Second<60)

Second=Second+1;

if(Second==60)

begin

Second=0;

if(Minute<60)

Minute=Minute+1;

源程序如下:

module

kongzhiqi(

CPout,S1,S2,RET,

Hour,Minute,Second,LED

);

input

CPout,S1,S2,RET;

output

[5:0]

Hour;

output

[5:0]

Minute;

output

[5:0]

Second;

output

LED;

reg

[5:0]

Hour;

reg

[5:0]

Minute;

reg

[5:0]

Second;

reg

R1;

reg

R2,R8,LED;

reg

[10:0]

Cout;

reg

Clk_En;

always@(posedge

CPout)

begin

if(S1==0)

begin

R1=1;

end

if(S2==0)

begin

R2=1;

end

if(RET==0)

begin

R8=1;

end

Cout=(Cout==32'd1000)?32'd0:(Cout

+

32'd1);

Clk_En=(Cout==32'd1000)?1'd1:1'd0;

LED=1;

end

else

LED=0;

if(R8==1)//清零

begin

Hour=0;

Minute=0;

Second=0;

R8=0;

end

end

end

endmod

if(Minute==60)

begin

Minute=0;

if(Hour<24)

Hour=Hour+1;

if(Hour==24)

begin

Hour=0;

end

end

end

if((Minute==59)&&(Second>55))

begin

if(LED==1)

LED=0;

else

功能仿真波形如圖3所示:

3.顯示器

顯示器的作用是將時—分—秒的值在數碼管上依次顯示出來。從分頻器輸出的1Khz的信號作為數碼管的掃描信號。SEL

表示三個數碼管選擇位,它的取值表示八個數碼管,從左至右依次是111~000。LEDGA表示七段數碼管,它的取值決定特定位數上顯示的數字。

源程序如下:

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b100)

Led=7'b1000_000;

if(SEL==3'b011)

case(shiwei2)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

module

xianshi(

CPout,Hour,Minute,

Second,SEL,LEDAG

);

input

CPout;

input

Hour,Minute,

Second;

output

SEL,LEDAG;

reg

[2:0]

SEL;

reg

[6:0]

Led;

reg

[3:0]

shi1,ge1,shi2,ge2,shi3,ge3;

always

@(posedge

CPout

)

begin

shiwei1=Hour/10;

gewei1=Hour%10;

shiwei2=Minute/10;

gewei2=Minute%10;

shiwei3=Second/10;

gewei3=Second%10;

if(SEL==3'b110)

case(shiwei1)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b101)

case(gewei1)

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b111)

case(gewei3)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

SEL

=

SEL

+

3'd1;

end

assign

LEDAG=Led;

endmodule

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b010)

case(gewei2)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b001)

Led=7'b1000_000;

if(SEL==3'b000)

case(shiwei3)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

總結體會

這次課程設計雖然只有短短的四天,但我的收獲卻很大。通過這次實習,我掌握了EDA設計的基本流程(即設計輸入—編譯—調試—仿真—下載),領會了自頂而下結構化設計的優點,并具備了初步的EDA程序設計能力。

我感覺,這個程序最難的地方在于頂層模塊的設計,因為頂層模塊需要將各個子模塊按照電路原理有機地結合起來,這需要扎實的理論功底,而這正是我所欠缺的。相比而言,子模塊的設計就容易多了,因為Verilog語言和C語言有很多相似之處,只要明白了實驗原理,就不難完成,水平的高下只體現在程序的簡潔與否。Verilog源程序的編寫很容易出現錯誤,這就需要耐心的調試。因為很多情況下,一長串的錯誤往往是由一個不經意的小錯誤引起的。當程序屢調屢錯的時候,最好和其他同學溝通交流一下,他們不經意的一句話,就可能給我啟發,使問題迎刃而解。

這次實習,給我感觸最深的還是行為態度問題。人的能力有大有小,但只要端正態度,不拋棄,不放棄,任何人都能取得令自己滿意的成績。在此,我由衷的感謝在這次課程設計中給了我巨大幫助的老師和同學們!

本文來自 99學術網(www.gaojutz.com),轉載請保留網址和出處

上一篇:erp系統實驗報告金蝶下一篇:gps在測繪工作中應用

91尤物免费视频-97这里有精品视频-99久久婷婷国产综合亚洲-国产91精品老熟女泄火