<noframes id="ixm7d"><noframes id="ixm7d"><rt id="ixm7d"><delect id="ixm7d"></delect></rt><noframes id="ixm7d"><rt id="ixm7d"><rt id="ixm7d"></rt></rt><rt id="ixm7d"></rt> <noframes id="ixm7d"><rt id="ixm7d"><delect id="ixm7d"></delect></rt><delect id="ixm7d"></delect><bdo id="ixm7d"></bdo><rt id="ixm7d"></rt><bdo id="ixm7d"></bdo><noframes id="ixm7d"><rt id="ixm7d"><rt id="ixm7d"></rt></rt><rt id="ixm7d"><rt id="ixm7d"></rt></rt><noframes id="ixm7d"><rt id="ixm7d"></rt><noframes id="ixm7d"><rt id="ixm7d"></rt> <noframes id="ixm7d"><rt id="ixm7d"></rt><noframes id="ixm7d"><noframes id="ixm7d"><noframes id="ixm7d"><rt id="ixm7d"></rt><noframes id="ixm7d"><noframes id="ixm7d"><noframes id="ixm7d"><rt id="ixm7d"></rt><noframes id="ixm7d"><rt id="ixm7d"></rt><noframes id="ixm7d"><rt id="ixm7d"></rt><noframes id="ixm7d">

eda技術課程設計題目

2023-06-20

第一篇:eda技術課程設計題目

EDA課程設計題目

課程設計題目 設計一 數字式競賽搶答器

1、設計一個可容納 6 組(或 4 組)參賽的數字式搶答器,每組設一個按鈕,供搶答使用。

2、搶答器具有第一信號鑒別和鎖存功能,使除第一搶答者外的按鈕不起作用。

3、設置一個主持人“復位”按鈕。

4、主持人復位后,開始搶答,第一信號鑒別鎖存電路得到信號后,有指示燈顯示搶答組別,揚聲器發出 2~3 秒的音響。

5、設置一個計分電路,每組開始預置 100 分,由主持人記分,答對一次加 10 分,答錯一次減 10 分。

教學提示:

1、 此設計問題的關鍵是準確判斷出第一搶答者并將其鎖存,實現的方法可使用觸發器或鎖存器,在得到 第一信號后將輸入封鎖,使其它組的搶答信號無效。

2、 形成第一搶答信號后,用編碼、譯碼及數碼顯示電路顯示第一搶答者的組別,用第一搶答信號推動揚 聲器發出音響。

3、 計分電路采用十進制加/減計數器、數碼管顯示,由于每次都是加/減 10 分,所以個位始終為零,只要十位、百位進行加/減運算即可。

設計二 數字鐘

1、 設計一個能顯示1/10秒、秒、分、時的12小時數字鐘。

2、 熟練掌握各種計數器的使用。

3、 能用計數器構成十進制、六十進制、十二進制等所需進制的計數器。

4、 能用低位的進位輸出構成高位的計數脈沖。

教學提示:

1、時鐘源使用頻率為0.1Hz的連續脈沖。

2、設置兩個按鈕,一個供“開始”及“停止”用,一個供系統“復位”用。

3、時鐘顯示使用數碼管顯示。

4、“時顯示”部分應注意12點后顯示1點。

5、注意各部分的關系,由低位到高位逐級設計、調試。

設計三 數字頻率計

1、 設計一個能測量方波信號的頻率的頻率計。

2、 測量的頻率范圍是0?999999Hz。

3、 結果用十進制數顯示。 教學提示:

1、 脈沖信號的頻率就是在單位時間內所產生的脈沖個數,其表達式為,f為被測信號的頻率,N為計數器 所累計的脈沖個數,T為產生N個脈沖所需的時間。所以,在1秒時間內計數器所記錄的結果,就是被 測信號的頻率。

2、 被測頻率信號取自實驗箱晶體振蕩器輸出信號,加到主控門的輸入端。

3、 再取晶體振蕩器的另一標準頻率信號,經分頻后產生各種時基脈沖:1ms,10ms,0.1s,1s等,時基信 號的選擇可以控制,即量程可以改變。

4、 時基信號經控制電路產生閘門信號至主控門, 只有在閘門信號采樣期間內(時基信號的一個周期), 輸入 信號才通過主控門。

5、 f=N/T,改變時基信號的周期T,即可得到不同的測頻范圍。

6、 當主控門關閉時,計數器停止計數,顯示器顯示記錄結果,此時控制電路輸出一個置零信號,將計數 器和所有觸發器復位,為新的一次采樣做好準備。

7、 改變量程時,小數點能自動移位。

設計四 拔河游戲機

1、 設計一個能進行拔河游戲的電路。

2、 電路使用15個(或9個)發光二極管,開機后只有中間一個發亮,此即拔河的中心點。

3、 游戲雙方各持一個按鈕,迅速地、不斷地按動,產生脈沖,誰按得快,亮點就向誰的方向移動,每按 一次,亮點移動一次。

4、 亮點移到任一方終端二極管時,這一方就獲勝,此時雙方按鈕均無作用,輸出保持,只有復位后才使 亮點恢復到中心。

5、 用數碼管顯示獲勝者的盤數。

教學提示:

1、 按鈕信號即輸入的脈沖信號,每按一次按鈕都應能進行有效的計數。

2、 用可逆計數器的加、減計數輸入端分別接受兩路脈沖信號,可逆計數器原始輸出狀態為0000,經譯碼 器輸出,使中間一只二極管發亮。

3、 當計數器進行加法計數時,亮點向右移;進行減法計數時,亮點向左移。

4、 由一個控制電路指示誰勝誰負,當亮點移到任一方終端時,由控制電路產生一個信號,使計數器停止 計數。

5、 將雙方終端二極管“點亮”信號分別接兩個計數器的“使能”端,當一方取勝時,相應的計數器進行 一次計數,這樣得到雙方取勝次數的顯示。

6、 設置一個“復位”按鈕,使亮點回到中心,取勝計數器也要設置一個“復位”按鈕,使之能清零。

設計五 乒乓球比賽游戲機

1、 設計一個由甲、乙雙方參賽,有裁判的3人乒乓球游戲機。

2、 用8個(或更多個)LED排成一條直線,以中點為界,兩邊各代表參賽雙方的位置,其中一只點亮的LED 指示球的當前位置,點亮的LED依此從左到右,或從右到左,其移動的速度應能調節。

3、 當“球”(點亮的那只LED)運動到某方的最后一位時,參賽者應能果斷地按下位于自己一方的按鈕開關, 即表示啟動球拍擊球。若擊中,則球向相反方向移動;若未擊中,則對方得1分。

4、 一方得分時,電路自動響鈴3秒,這期間發球無效,等鈴聲停止后方能繼續比賽。

5、 設置自動記分電路,甲、乙雙方各用2位數碼管進行記分顯示,每計滿21分為1局。

6、 甲、乙雙方各設一個發光二極管,表示擁有發球權,每隔5次自動交換發球權,擁有發球權的一方發球 才有效。

教學提示:

1、 用雙向移位寄存器的輸出端控制LED顯示來模擬乒乓球運動的軌跡,先點亮位于某一方的第1個LED,由 擊球者通過按鈕輸入開關信號,實現移位方向的控制。

2、 也可用計數譯碼方式實現乒乓球運動軌跡的模擬,如利用加/減計數器的2個時鐘信號實現甲、乙雙方 的擊球,由表示球拍的按鈕產生計數時鐘,計數器的輸出狀態經譯碼驅動LED發亮。

3、 任何時刻都保持一個LED發亮,若發亮的LED運動到對方的終點,但對方未能及時輸入信號使其向相反 方向移動,即失去1分。

4、 控制電路決定整個系統的協調動作,必須嚴格掌握各信號之間的關系。

設計六 交通信號燈控制器

1、 設計一個交通信號燈控制器,由一條主干道和一條支干道匯合成十字路口,在每個入口處設置紅、綠、 黃三色信號燈,紅燈亮禁止通行,綠燈亮允許通行,黃燈亮則給行駛中的車輛有時間停在禁行線外。

2、 紅、綠、黃發光二極管作信號燈,用傳感器或邏輯開關作檢測車輛是否到來的信號。

3、 主干道處于常允許通行的狀態,支干道有車來時才允許通行。主干道亮綠燈時,支干道亮紅燈;支干 道亮綠燈時,主干道亮紅燈。

4、 主、支干道均有車時,兩者交替允許通行,主干道每次放行45秒,支干道每次放行25秒,設立45秒、 25秒計時、顯示電路。

5、 在每次由綠燈亮到紅燈亮的轉換過程中, 要亮5秒黃燈作為過渡, 使行駛中的車輛有時間停到禁行線外, 設立5秒計時、顯示電路。

教學提示:

1、 主、支干道用傳感器檢測車輛到來情況,實驗電路用邏輯開關代替。

2、 選擇 1HZ 時鐘脈沖作為系統時鐘。

3、 45 秒、25 秒、5 秒定時信號可用順計時,也可用倒計時,計時起始信號由主控電路給出,每當計滿所 需時間,即向主控電路輸出“時間到”信號,并使計數器清零,由主控電路啟、閉三色信號燈或啟動 另一計時電路。

4、 主控電路是核心,這是一個時序電路,其輸入信號為:車輛檢測信號(A,B, ;45 秒、25 秒、5 秒定 時信號(C,D,E) ,其輸出狀態控制相應的三色燈。主控電路可以由兩個 JK 觸發器和邏輯門構成, 其輸出經譯碼后,控制主干道三色燈 R、G、Y 和支干道三色燈 r、g、y。

設計七 電子密碼鎖

1、 設計一個密碼鎖的控制電路,當輸入正確代碼時,輸出開鎖信號以推動執行機構工作,用紅燈亮、綠 燈熄滅表示關鎖,用綠燈亮、紅燈熄滅表示開鎖;

2、 在鎖的控制電路中儲存一個可以修改的 4 位代碼,當開鎖按鈕開關(可設置成 6 位至 8 位,其中實際 有效為 4 位,其余為虛設)的輸入代碼等于儲存代碼時,開鎖;

3、 從第一個按鈕觸動后的 5 秒內若未將鎖打開,則電路自動復位并進入自鎖狀態,使之無法再打開,并 由揚聲器發出持續 20 秒的報警信號。 教學提示:

1、 該題的主要任務是產生一個開鎖信號,而開鎖信號的形成條件是,輸入代碼和已設密碼相同。實現這種功能的電路構思有多種,例如,用兩片8位鎖存器,一片存入密碼,另一片輸入開鎖的代碼,通過比 較的方式,若兩者相等,則形成開鎖信號。

2、 在產生開鎖信號后,要求輸出聲、光信號,聲音的產生由開鎖信號觸動揚聲器工作,光信號由開鎖信 號點亮 LED 指示燈;

3、 用按鈕開關的第一個動作信號觸發一個 5 秒定時器,若 5 秒內無開鎖信號產生,讓揚聲器發出特殊音響,以示警告,并輸出一個信號推動 LED 不斷閃爍。

設計八 彩燈控制器

1、 設計一個彩燈控制器,使彩燈(LED 管)能連續發出四種以上不同的顯示形式;

2、隨著彩燈顯示圖案的變化,發出不同的音響聲。 教學提示: 教學提示

1、彩燈顯示的不同形式可由不同進制計數器驅動 LED 顯示完成;

2、 音響由選擇不同頻率 CP 脈沖驅動揚聲器形成。

設計九 脈沖按鍵電話顯示器

1、 設計一個具有 8 位顯示的電話按鍵顯示器;

2、 能準確地反映按鍵數字;

3、 顯示器顯示從低位向高位前移,逐位顯示按鍵數字,最低位為當前輸入位;

4、 *設置一個“重撥”鍵,按下此鍵,能顯示最后一次輸入的電話號碼;

5、 *掛機 2 秒后或按熄滅按鍵,熄滅顯示器顯示。

教學提示:

1、 利用中規模計數器的予置數功能可以實現不同的按鍵對應不同的數字;

2、 設置一個計數器記錄按鍵次數,從而實現數字顯示的移位。

設計十 簡易電子琴

1、 設計一個簡易電子琴;

2、 利用實驗箱的脈沖源產生 1,2,3,……共 7 個或 14 個音階信號;

3、 用指示燈顯示節拍;

4、 *能產生顫音效果。

教學提示:

1、 各音階信號由脈沖源經分頻得到。

設計十一 出租車自動計費器

1、 設計一個出租車自動計費器,具有行車里程計費、等候時間計費、及起價三部分,用四位數碼管顯示 總金額,最大值為 99.99 元;

2、 行車里程單價 1 元/公里,等候時間單價 0.5 元/10 分鐘,起價 3 元(3 公里起價)均能通過人工輸入。

3、 行車里程的計費電路將汽車行駛的里程數轉換成與之成正比的脈沖數,然后由計數譯碼電路轉換成收費金額,實驗中以一個脈沖模擬汽車前進十米,則每 100 個脈沖表示 1 公里,然后用 BCD 碼比例乘法 器將里程脈沖乘以每公里單價的比例系數,比例系數可由開關預置。例如單價是 1.0 元/公里,則脈沖當量為 0.01 元/脈沖。

4、 用 LED 顯示行駛公里數,兩個數碼管顯示收費金額。

教學提示:

1、 等候時間計費需將等候時間轉換成脈沖個數,用每個脈沖表示的金額與脈沖數相乘即得計費數,例如 100 個脈沖表示 10 分鐘,而 10 分鐘收費 0.5 元,則脈沖當量為 0.05 元/脈沖,如果將脈沖當量設置成與行車里程計費相同(0.01 元/脈沖) ,則 10 分鐘內的脈沖數應為 500 個。

2、 用 LED 顯示等候時間,兩個數碼管表示等候時間收費金額。

3、 用加法器將幾項收費相加,P=P1+P2+P3,

4、 P1 為起價,P2 為行車里程計費,P3 為等候時間計費,用兩個數碼管表示結果。

設計十二 洗衣機控制器

1、 設計一個電子定時器,控制洗衣機作如下運轉:定時啟動→正轉 20 秒→暫停 10 秒→反轉 20 秒→暫停 10 秒→定時未到回到“正轉 20 秒→暫停 10 秒→……” ,定時到則停止;

2、 若定時到,則停機發出音響信號;

3、 用兩個數碼管顯示洗滌的預置時間 (分鐘數) 按倒計時方式對洗滌過程作計時顯示, , 直到時間到停機; 洗滌過程由“開始”信號開始;

4、三只 LED 燈表示“正轉”、“反轉”、“暫停”三個狀態。

教學提示:

1、 設計 20 秒、10 秒定時電路。

2、 電路輸出為“正轉”、“反轉”、“暫停”三個狀態。

3、 按照設計要求,用定時器的“時間到”信號啟動相應的下一個定時器工作,直到整個過程結束。 13. 可控脈沖發生器

內容及要求:

實現周期、占空比均可調的脈沖發生器。 (1)采用1khz的工作時鐘;

(2)脈沖周期0.5s~6s,占空比10%~90%; (3)可初始化:周期2.5s,占空比50%;

14. 8bit序列檢測器

內容及要求:

完成從2bit輸入碼流中檢測特定8bit數據的電路,具體要求如下: (1)輸入2bit碼流,msb在前,4個周期的數據組成一個結構化字節; (2)檢測序列0x7e;

(3)成功檢測到特定序列后,點亮一個LED;

(4)操作中采用開關作為數據輸入,按鍵作為有效數據指示信號; (5)工作時鐘選擇1k即可;

(6)完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、下載驗證等。

15人表決電路設計

內容及要求

完成7人表決電路設計,LED燈表示通過、否決。 (1)開關表示贊成與否,1~8編號(1贊成); (2)LED顯示表決的結果;

(3)數碼管分別顯示贊成、否決的人數; (4)工作時鐘100Hz即可;

(5)完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、時序仿真、下載驗證等。

16排隊電路設計

內容及要求

單窗口排隊機電路,給每個新來者編號,并計算隊伍長度。

(1)進隊、離隊兩個信號作為輸入,當前服務號碼和隊長各由4個數碼管顯示; (2)初始時隊長0,進隊號碼由1順序遞增,輸出編號; (3)有人入隊,長度加,有人離隊長度減; (4)工作時鐘適當即可;

(5)完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、時序仿真、下載驗證等。

17算術計算器電路設計

內容及要求

實現一位十進制數的加減乘除元算,結果顯示在數碼管上。 (1)鍵盤作為運算數據輸入; (2)不同位置的按鍵代表運算符; (3)2個數碼管顯示運算結果; (4)支持連續運算;

(5)完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、下載驗證等。

18電梯控制器電路設計

內容及要求

設計智能電梯運行控制電路,板級驗證用按鍵/開關與數碼管/LED表示輸入與輸出。 (1)樓層4層;

(2)梯內顯示當前樓層、梯外各層顯示當前電梯所在樓層;

(3)1層只有向上按鈕,4層只有向下按鈕,其余各層均有上下按鈕; (4)電梯具有鎖定功能(梯內);

(5)超重/超員報警(電路有空載、超重、乘客入梯指示輸入); (6)采用Altera的cyclone器件,目標板soc實驗室開發板; (7)設計相應的調度算法,使運營成本最低;

(8)完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、后仿真、下載驗證等。 19 LCD模塊接口電路設計

內容及要求

實現NiosII驅動240X128LCD顯示。

(1)T6963C控制器,顯示模塊與CPU的接口采用標準的8位微處理器接口,即D0~D

7、nRD、nWR、nCE以及C/D信號,當C/D為‘1’時,選中指令通道,數據總線上的數據均為指令;當C/D為‘0’時,選中數據通道,數據總線上的數據為寫入LCD或讀出的有效數據。8bit輸入,串行輸出,伴有串行信號msb指示;

(2)完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、時序仿真、下載驗證等。

20 音頻信號存儲與播放

內容及要求

(1)4.096s音頻信號,8kA/D轉換為8bit數據,共32768字節,存儲播放; (2)音頻數據自行解決,可采用語音傳感器采集; (3)揚聲器播放;

(4)可以部分播放,時長可控;

(5)完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、時序仿真、下載驗證等。

21 脈寬調制電路設計

內容及要求 脈寬調制電路。 (1)脈寬可調; (2)周期可調;

(3)完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、下載驗證等。

22. 計時器電路設計

內容及要求

設計時、分、秒計時器電路,數碼管顯示輸出。 (1)輸入10K精準時鐘;

(2)具有時、分、秒計時功能,24小時制; (3)時分秒均可手動調整校正;

(4)計時信息有6個數碼管顯示輸出,格式hhmmss;或者8個數碼管顯示輸出,格式hh:mm:ss; (5)完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、下載驗證等。

23 FIR濾波器電路設計

內容及要求

完成有限沖激響應低通數字濾波器設計。 (1)濾波頻率20kHz;

(2)增益不作要求,抽頭系數自行計算; (3)同步電路設計;

(4)完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、下載驗證等。

24自動售貨機電路

內容及要求

設計自動售貨機電路,要求如下:

(1)待售物品價格1元、2元、3元、五元; (2)只接受1元、5元、10元幣值; (3)機內存有1元零錢無限;

(4)投入錢幣之前認為售貨機為空閑狀態;投入錢幣后需要按下物品標簽吐出商品; (5)自動找零;

(6)完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、下載驗證等。 25. 排隊電路設計

內容及要求

單窗口排隊機電路,給每個新來者編號,并計算隊伍長度。

(1)進隊、離隊兩個信號作為輸入,當前服務號碼和隊長各由4個數碼管顯示; (2)初始時隊長0,進隊號碼由1順序遞增,輸出編號; (3)有人入隊,長度加,有人離隊長度減; (4)工作時鐘適當即可;

(5)完成全部流程:設計規范文檔、模塊設計、代碼輸入、功能仿真、約束與綜合、布局布線、時序仿真、下載驗證等。

26信號發生器系統設計

內容及要求

設計一個簡易信號發生器。要求能夠產生正弦波、方波、鋸齒波和三角波信號,并能夠實現調頻功能;最終能在示波器上觀察到各種波形。

27 汽車尾燈控制器設計

內容及要求

根據現代交通規則,汽車尾燈控制器應滿足以下基本要求: (1)汽車正常使用時指示燈不亮; (2)汽車右轉時,右側的一盞燈亮; (3)汽車左轉時,左側的一盞燈亮;

(4)汽車剎車時,左右兩側的指示燈同時亮;

(5)汽車夜間行駛時,左右兩側的指示燈同時一直亮,供照明使用。

28 簡易音樂播放器

內容及要求

產生音樂的兩個因素是音樂頻率和音樂的持續時間,以純硬件完成演奏電路比利用微處理器(CPU)來實現樂曲演奏要復雜的多如果不借助于功能強大的EDA工具和硬件描述語言,憑借傳統的數字邏輯技術,即使最簡單的演奏電路也難以實現。根據設計要求,樂曲硬件演奏電路系統主要由數控分頻器和樂曲存儲模塊組成。數控分頻器對FPGA的基準頻率進行分頻,得到與各個音階對應的頻率輸出。樂曲存儲模塊產生節拍控制和音階選擇信號,即在此模塊中可存放一個樂曲曲譜真值表,由一個計數器來控制此真值表的輸出,而由計數器的計數時鐘信號作為樂曲節拍控制信號。 29自動售郵票機

內容及要求

設計一個自動售郵票機,用開關電平信號模擬投幣過程,每次投一枚硬幣,但可以連續投入數枚硬幣。機器能自動識別硬幣金額,最大為1元,最小為5角。設定票價為2.5元,每次售一張票。

購票時先投入硬幣,當投入的硬幣總金額達到或超過票的面值時,機器發出指示,這時可以按取票鍵取出票。如果所投硬幣超過票的面值則會提示找零錢,取完票以后按找零鍵則可以取出零錢。

30看門狗設計

內容及要求

1.看門狗電路時電子系統,是嵌入式系統中常用的抗干擾措施之一,其作用是在程序“跑 飛”后強制系統復位。

2.看門狗實際上是一個計數器,它需要在一定時間內被清零,否則,看門狗將產生一個復位信號使系統重新啟動。 3. 系統主要由3個功能模塊構成: 1) 計數比較模塊; 2) 分頻模塊; 3) 復位計時模塊

第二篇:2018春EDA課程設計安排及參考題目[大全]

EDA課程設計安排

要求:

1、至少完成一個設計任務,撰寫課程設計報告,交A4紙打印稿,字數不限,左側裝訂,單獨答辯考核,提前完成可提前答辯。

2、課程設計過程:選題、查資料、設計、實驗箱上實現(或者自己做實物)、寫報告、答辯。

3、參考題目和要求附后,自己選定題目后查閱資料進行設計,需要實驗驗證的可以到實驗室(1C06 325)進行實驗驗證。

4、可以自己選擇參考課題以外的課題,但難度和工作量不能少于參考題目的。

5、輔導答疑:辦公室(1C06 321),具體時間另行安排。

6、實驗室開放時間:上午8:30到 下午3:00,與李帥老師聯系,進實驗室時一定要穿鞋套。

7、課程設計報告必須交,每人單獨答辯,考核內容程序設計、仿真調試、硬件實現。

8、答辯時間另行通知。

電話:13846150185 QQ:276432828 郵箱:wq.wangquan@163.com 辦公室:1C06 321

EDA課程設計參考題目及要求

可自選其它題目

課程設計題一:八人搶答器

要求:

1、八人搶答邏輯:只有一個最先搶答有效。

2、在主持人控制下,10秒內搶答有效。

3、采用數碼管顯示搶答10秒倒計時,若有搶答直接結束,顯示結果。

4、搶答結束后用數碼管顯示搶答結果:搶答有效人編號;若有異常(提前搶答,犯規),顯示搶答人編號和E,本次搶答結束 。

5、設主持人控制鍵、復位鍵。

控制鍵:啟動搶答

復位鍵:系統復位

6、開始、正常結束、搶答結束、違規搶答采用聲音提示。

課程設計題二:7人多數表決器

要求:

1、7人多數表決邏輯:多數通過。

2、在主持人控制下,10秒內表決有效。

3、采用數碼管顯示表決10秒倒計時。

4、表決結束后用發光二極管及數碼管顯示表決結果,數碼管顯示結果:通過、不通過,同意人數。

5、設主持人控制鍵、復位鍵。

控制鍵:啟動表決

復位鍵:系統復位

6、表決開始、結束采用聲音提示。

課程設計題三:日歷和數字種

要求:

1、數字鐘:時、分,小時用二十四進制顯示,分鐘用六十進制顯示。

2、每月日歷為30天,并顯示星期幾。

3、顯示采用動態數碼管顯示方式或靜態顯示方式。

動態時顯示八位:日期 星期幾 小時 分鐘

靜態時顯示四位:分別顯示(日期30天 星期)和(小時 分鐘)采用控制鍵切換或周期輪流顯示。

4、具有清零的功能,且能夠對小時、分鐘進行調整(校時)。

5、具有整點、半點報時功能,整點用聲音次數報時,半點特殊聲音報時。

課程設計題四:LED彩燈控制器設計

要求:

1、至少10個發光管4種花樣自動變換,循環往復。

2、彩燈花樣變換的快慢節拍可以手動和自動方式控制,手動控制按鈕按一次轉換一次;自動控制方式每15秒變換一次,1分鐘循環一遍。

3、系統復位清零開關。

課程設計題五:汽車尾燈控制器設計

要求:

1、汽車車尾左右兩側各有四盞燈:黃燈、紅燈、霧燈、倒車照明燈,前面有照明燈(遠光、近光)、黃燈、霧燈

2、白天正常行駛時照明燈都不亮,夜間行駛時兩個前照明燈都亮。

3、左轉彎時左側黃燈閃亮(2Hz),右轉彎時右側黃燈閃亮。

4、汽車剎車時,兩個紅燈都亮。

5、能見度低或者夜間行駛時霧燈點亮。

6、倒車時紅燈、倒車照明燈亮。

課程設計題六:秒表

要求:

1、計時范圍:0~59分59.59秒,整數四位數和小數兩位數顯示;

2、計時精度10毫秒;

3、復位按鈕,計時器清零,并做好下次計時準備;

4、可以對兩個對象(A、B)計時,具有啟/??刂?

3、設開始、停止A、停止B、顯示A、顯示B、復位按鈕。

課程設計題七:交通燈控制器

要求:

1、紅燈和綠燈相互轉換時經過黃燈,黃燈閃爍三次(6秒);

2、主干道方向通行30秒,輔干道方向通行20秒,單獨左轉信號15秒;先直行信號,后左轉信號。

3、設手動、自動、特殊情況三種方式。

4、特殊情況時所有路口紅燈都亮,給120、110等通行。

5、自動時顯示各種狀態倒計時。

課程設計題八:籃球比賽計分器

要求:

1、兩隊各三位顯示,共計六位數碼顯示;

2、設A、B隊加、減分按鍵,復位鍵;

3、加減分實現1分、2分、3分加減。

課程設計題九:循環碼編碼器和譯碼器設計

要求:

1、單獨設計一個8位循環碼編碼器;

2、單獨設計一個8位循環碼譯碼器;

3、兩個模塊連在一起工作。

課程設計題十:簡易電子琴設計

要求:

1、設置三種音階:低音、中音、高音控制按鍵;

2、設計

1、

2、

3、

4、

5、

6、7音(哆來咪法搜拉稀);

3、能彈奏一首簡單的兒歌。

課程設計題十一:拔河游戲機設計

要求:

1、電路使用9個發光二極管表示拔河的“電子繩”,開機后只有中間一個發亮,此即拔河的中心點。游戲甲乙雙方各持一個按鈕,迅速地、不斷地按動產生脈沖,誰按得快,亮點向誰方向移動,每按一次,亮點移動一次。亮點移到任一方終端二極管,這一方就獲勝,此時雙方按鈕均無作用,輸出保持,只有復位后才使亮點恢復到中心。

2、由裁判下達比賽開始命令后,甲乙雙方才能輸入信號,否則,輸入信號無效。

3、用數碼管顯示獲勝者的盤數,每次比賽結束自動給獲勝方加分。

課程設計題十二:電子密碼鎖

要求:

1、在鎖開的狀態下輸入密碼,設置的密碼共4位,用數據開關K1∼K10分別代表數字

1、

2、…、

9、0,輸入的密碼用數碼管顯示,最后輸入的密

碼顯示在最右邊的數碼管上,即每輸入一位數,密碼在數碼管上的顯示左移一位??蓜h除輸入的數字,刪除的是最后輸入的數字,每刪除一位,密碼在數碼管的顯示右移一位,并在左邊空出的位上補充“0”。

2、用一位輸出電平的狀態代表鎖的開閉狀態,綠色發光管指示。

3、為保證密碼鎖主人能打開密碼鎖,設置一個萬能密碼,在主人忘記密碼時使用。

第三篇:沈陽理工大學 EDA技術課程設計教學大綱

《EDA技術課程設計》課程教學大綱

課程代碼:030351001 課程英文名稱:Course Design of EDA Techniques

課程總學時:2周 講課:□□ 實驗:□□ 上機:□□ 適用專業:電子信息工程專業

大綱編寫(修訂)時間:2010年6月

一、大綱使用說明

本大綱根據電子信息工程專業2010版教學計劃制定。

(一)適用專業

電子信息工程專業。

(二)課程設計性質

必修。

(三)主要先修課和后續課程

先修課:《數字電路》、《EDA技術》

后續課:《微機原理與接口》、《畢業設計》

二、 課程設計目的及基本要求

本課程設計是為配合《EDA技術》課程的學習而設立的,通過本課程設計,可使學生更加深刻地理解《EDA技術》課程講述的內容;培養學生獨立思考、解決實際工程問題的能力;提高學生用硬件描述語言設計電路的能力,為后續專業課程學習打下堅實基礎。

①使學生掌握利用熟悉一種到兩種EDA軟件進行電子系統設計的基本方法和技巧,即能熟練進行設計輸入、編譯、管腳分配、下載等過程,具備初步的獨立設計能力;

② 學會查閱技術資料和手冊,合理選用設計方案、線路和器件; ③ 培養學生獨立分析和解決問題的能力; ④ 使學生掌握一定的設計與實踐技能;

⑤ 撰寫規范的設計總結報告,培養嚴謹的作風和科學的態度。

三、 課程設計內容及安排

課程設計內容主要依托于EDA技術課程,課程設計題目涉及了眾多類的設計問題,具體內容應該由課程設計指導書進行詳細闡述。

選題要符合本課程的教學要求,通常應包含EDA技術課程主要內容,并強調各部分知識的綜合應用。注意選題內容的先進性、綜合性、實踐性,應適合實踐教學和啟發創新,選題內容不應太簡單,難度要適中;最好結合工程實際情況進行選題,反映EDA技術的新水平,并且有一定的實用價值;成果宜具有相對完整功能。

課程設計的具體實施安排可以采用兩種形式,一種是每人獨立完成分配給自己的課程設計題目,這適用于題目工作量要求不高的情況;另一種是采用分組的方式,將學生2~3人為一組,完成一組綜合題或一道工作量要求較高的題目。具體采用那種方式可以在進行課程設計安排時可以靈活掌握,要注意的是盡量爭取學生課程設計的題目不一樣,從而避免課程設計成果雷同,降低課程學習效果。

通過兩周的課程設計,學生完成面向對象課程設計題目,教師最終對學生提交的軟件和課程設計報告進行驗收。

四、 指導方式

① 選定設計課題,下達設計任務

選題可由指導教師選定,或由指導教師提供幾個選題供學生選擇;也可由學生自己選題,但學生選題需通過指導教師批準。課題應在設計周之前提前公布,以便學生有充分的設計準備時間。

指導教師在公布課程設計課題時一般應包括以下內容:課題名稱、設計任務、技術指標和要求、主要參考文獻等內容。

② 教師講解

a.介紹課程設計的內容、要求、安排、考核方法、注意事項

b.講授必要的課題背景和相關知識、原理。著重幫助學生明確任務,理解電子系統的一般設計方法、安裝、調測方法。

③ 學生查詢資料,并進行設計

設計內容:系統總體設計方案;系統分析與設計(各模塊及其頂層文件的設計、編程);完整的系統框圖;調測方案、步驟等。

④ 教師審查

審查設計方案是否合理、正確、可行,否則要求調整或整改。教師記錄學生的相應成績。 ⑤ 學生上機調試和下載

通過教師審查后,即開始調試。學生根據編寫的程序,上機調試和下載測試,調試工作原則上由學生獨立,完成對理論設計進行時序仿真和優化。教師以兼顧培養學生的獨立工作能力和在規定時間內完成設計任務為宗旨,視具體情況給予適當指導。應對實踐紀律和態度提出嚴格要求,督促、激發、引導學生圓滿完成實踐任務。

五、課程設計考核方法及成績評定

課程設計考核成績由三個方面組成:

(一)學生出勤成績

學生出勤成績根據學生在課程設計上課期間的出勤記錄進行評定,占最終成績的20%。

(二)課程設計答辯成績

課程設計答辯成績根據指導教師對于學生編寫的程序質量及學生對于老師問題的回答情況進行評定,占最終成績的40%。

學生在系統達到功能和指標要求后,保持系統的測量現場,申請指導教師驗收。對達到設計指標要求的,教師將對其綜合應用能力和實驗能力進行簡單的答辯考查,然后給出實際操作分。未達到設計指標要求的,則要求其調整和改進,直到達標。要求仿真結果符合課程設計選題的要求,并能在調試基礎上進行優化設計,電路圖布局合理,線路清楚。

(三)課程設計論文成績

課程設計論文成績根據學生的論文質量由指導教師進行評定,占最終成績的40% 課程設計最終的成績=學生出勤成績×20%+課程設計答辯成績×40%+課程設計論文成績×40%。課程設計的成績可以采用百分制整數形式,也可采用優良制形式。在采用優良制成績時,由計算出的百分制成績轉換成相應的優良制成績。

學生對設計的全過程做出系統的報告,按統一格式寫出設計報告。撰寫設計報告能訓練學生編制科技報告或技術資料的能力,同時也能使設計從理論上進一步得到總結提高,所以設計報告必須獨立完成。

課程設計報告應包括的主要內容有: ① 設計題目; ② 設計目的;

③ 設計任務及主要技術指標和要求; ④ 設計思想說明;

⑤ 程序清單、時序仿真、結果分析等。

⑥ 對設計成果做出評價,說明本設計的特點和存在問題,提出改進設計意見; ⑦ 通過課程設計所得到的收獲和體會; ⑧主要參考文獻。

課程設計報告應認真、規范、正確。

六、課程設計教材及主要參考書目

《EDA技術實用教程》(第二版),潘松編,科學出版社,2004 《電子電路EDA技術》,趙世強編,西安電子科技大學出版社,2002 《EDA技術及應用》,潭會生編,西安電子科技大學出版社,2003 《EDA技術與應用》,汪國強等編著,電子工業出版社,2005 《EDA技術習題與實驗》,汪國強等編著,電子工業出版社,2005

編寫人: 王紅 魏英姿 周帆

審核人: 胡玉蘭 批準人: 張煥君

第四篇:EDA課程設計時鐘

EDA

課程設計

姓名:

學號:

班級:自動化

設計題目

多功能數字鐘電路設計

設計任務及要求

多功能數字鐘應該具有的功能有:顯示時—分—秒、小時和分鐘可調等基本功能。整個鐘表的工作應該是在1Hz信號的作用下進行,這樣每來一個時鐘信號,秒增加1秒,當秒從59秒跳轉到00秒時,分鐘增加1分,同時當分鐘從59分跳轉到00分時,小時增加1小時,小時的范圍為0~23時。

在實驗中為了顯示的方便,由于分鐘和秒鐘顯示的范圍都是從0~59,所以可以用一個3位的二進制碼顯示十位,用一個四位的二進制碼(BCD碼)顯示個位,對于小時因為他的范圍是從0~23,所以可以用一個2位的二進制碼顯示十位,用一個4位的二進制碼(BCD碼)顯示個位。

實驗中由于七段碼管是掃描的方式

顯示,所以雖然時鐘需要的是1Hz時鐘信號,但是掃描需要一個比較高頻率的信號,因此為了得到準確的1Hz信號,必須對輸入的系統時鐘50Mhz進行分頻。

調整時間的按鍵用按鍵模塊的S1和S2,S1調節小時,每按下一次,小時增加一個小時;S2調整分鐘,每按下一次,分鐘增加一分鐘。另外用S8按鍵作為系統時鐘復位,復位后全部顯示00—00—00。

三.基于Verilog

HDL語言的電路設計、仿真與綜合

(一)頂層模塊

本程序采用結構化設計方法,將其分為彼此獨立又有一定聯系的三個模塊,如圖1所示:

圖1:頂層結構框圖

(二)子模塊

1.分頻器

分頻器的作用是對50Mhz的系統時鐘信號進行分頻,得到頻率為1000hz的信號,作為顯示器的輸入信號。

源程序如下:

module

fenpin(input

CP,

output

CPout

);

reg

CPout;

reg

[31:0]

Cout;

reg

CP_En;

always

@(posedge

CP

)

//將50MHz分頻為1kHz

begin

Cout

<=

(Cout

==

32'd50000)

?

32'd0

:

(Cout

+

32'd1);

CP_En

<=

(Cout

==

32'd50000)

?

1'd1

:

1'd0;

CPout

<=

CP_En;

end

endmodule

功能仿真波形如圖2所示(以五分頻為例):

2.控制器和計數器

控制器的作用是,調整小時和分鐘的值,并能實現清零功能。計數器的作用是實現分鐘和秒鐘滿60進1,小時則由23跳到00。當到達59分55秒的時候,LED燈會閃爍來進行報時。因為控制器和計數器的驅動信號頻率均為1Hz,故從分頻器輸出的信號進入控制器后,要進行二次分頻,由1Khz變為1Hz。

if(Clk_En)

begin

if(R1==1)

begin

if(Hour<24)

Hour=Hour+1;

if(Hour==24)

begin

Hour=0;

end

R1=0;

end

if(R2==1)

begin

if(Minute<60)

Minute=Minute+1;

if(Minute==60)

begin

Minute=0;

if(Hour<24)

Hour=Hour+1;

if(Hour==24)

begin

Hour=0;

End

end

R2=0;

end

if(Second<60)

Second=Second+1;

if(Second==60)

begin

Second=0;

if(Minute<60)

Minute=Minute+1;

源程序如下:

module

kongzhiqi(

CPout,S1,S2,RET,

Hour,Minute,Second,LED

);

input

CPout,S1,S2,RET;

output

[5:0]

Hour;

output

[5:0]

Minute;

output

[5:0]

Second;

output

LED;

reg

[5:0]

Hour;

reg

[5:0]

Minute;

reg

[5:0]

Second;

reg

R1;

reg

R2,R8,LED;

reg

[10:0]

Cout;

reg

Clk_En;

always@(posedge

CPout)

begin

if(S1==0)

begin

R1=1;

end

if(S2==0)

begin

R2=1;

end

if(RET==0)

begin

R8=1;

end

Cout=(Cout==32'd1000)?32'd0:(Cout

+

32'd1);

Clk_En=(Cout==32'd1000)?1'd1:1'd0;

LED=1;

end

else

LED=0;

if(R8==1)//清零

begin

Hour=0;

Minute=0;

Second=0;

R8=0;

end

end

end

endmod

if(Minute==60)

begin

Minute=0;

if(Hour<24)

Hour=Hour+1;

if(Hour==24)

begin

Hour=0;

end

end

end

if((Minute==59)&&(Second>55))

begin

if(LED==1)

LED=0;

else

功能仿真波形如圖3所示:

3.顯示器

顯示器的作用是將時—分—秒的值在數碼管上依次顯示出來。從分頻器輸出的1Khz的信號作為數碼管的掃描信號。SEL

表示三個數碼管選擇位,它的取值表示八個數碼管,從左至右依次是111~000。LEDGA表示七段數碼管,它的取值決定特定位數上顯示的數字。

源程序如下:

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b100)

Led=7'b1000_000;

if(SEL==3'b011)

case(shiwei2)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

module

xianshi(

CPout,Hour,Minute,

Second,SEL,LEDAG

);

input

CPout;

input

Hour,Minute,

Second;

output

SEL,LEDAG;

reg

[2:0]

SEL;

reg

[6:0]

Led;

reg

[3:0]

shi1,ge1,shi2,ge2,shi3,ge3;

always

@(posedge

CPout

)

begin

shiwei1=Hour/10;

gewei1=Hour%10;

shiwei2=Minute/10;

gewei2=Minute%10;

shiwei3=Second/10;

gewei3=Second%10;

if(SEL==3'b110)

case(shiwei1)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b101)

case(gewei1)

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b111)

case(gewei3)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

SEL

=

SEL

+

3'd1;

end

assign

LEDAG=Led;

endmodule

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b010)

case(gewei2)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b001)

Led=7'b1000_000;

if(SEL==3'b000)

case(shiwei3)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

總結體會

這次課程設計雖然只有短短的四天,但我的收獲卻很大。通過這次實習,我掌握了EDA設計的基本流程(即設計輸入—編譯—調試—仿真—下載),領會了自頂而下結構化設計的優點,并具備了初步的EDA程序設計能力。

我感覺,這個程序最難的地方在于頂層模塊的設計,因為頂層模塊需要將各個子模塊按照電路原理有機地結合起來,這需要扎實的理論功底,而這正是我所欠缺的。相比而言,子模塊的設計就容易多了,因為Verilog語言和C語言有很多相似之處,只要明白了實驗原理,就不難完成,水平的高下只體現在程序的簡潔與否。Verilog源程序的編寫很容易出現錯誤,這就需要耐心的調試。因為很多情況下,一長串的錯誤往往是由一個不經意的小錯誤引起的。當程序屢調屢錯的時候,最好和其他同學溝通交流一下,他們不經意的一句話,就可能給我啟發,使問題迎刃而解。

這次實習,給我感觸最深的還是行為態度問題。人的能力有大有小,但只要端正態度,不拋棄,不放棄,任何人都能取得令自己滿意的成績。在此,我由衷的感謝在這次課程設計中給了我巨大幫助的老師和同學們!

第五篇:EDA課程設計--課題選擇

EDA課程設計

Electronics Design Automation

課程編號:04××2×××

時:1周

學分:1 課程性質:必修

選課對象:自動化系,電氣工程系,生物醫學工程系

內容概要:利用EDA軟件和下載工具實現電子技術綜合問題的設計。

建議選用教材:《電子技術實驗指導書》李國麗、朱維勇主編,中國科技大學出版社 主要參考書:《電子技術基礎 模擬部分》康華光主編,高教出版社

《數字電子技術基礎》閻石主編,高教出版社

《EDA課程設計》教學大綱

學時:1周 學分:1

教學大綱說明

一、課程的目的與任務

《EDA課程設計》(注:EDA即電子設計自動化,Electronics Design Automation)是繼《模擬電子技術基礎》、《數字電子技術基礎》、《電子技術基礎實驗》課程后,電氣類、自控類和電子類等專業學生在電子技術實驗技能方面綜合性質的實驗訓練課程,是電子技術基礎的一個部分,其目的和任務是通過一周的時間,讓學生掌握EDA的基本方法,熟悉一種EDA軟件(MAXPLUS2),并能利用EDA軟件設計一個電子技術綜合問題,并在實驗板上成功下載,為以后進行工程實際問題的研究打下設計基礎。

二、課程的基本要求

1、 通過課程設計使學生能熟練掌握一種EDA軟件(MAXPLUS2)的使用方法,能熟練進行設計輸入、編譯、管腳分配、下載等過程。

2、 通過課程設計使學生能利用EDA軟件(MAXPLUS2)進行至少一個電子技術綜合問題的設計(內容可由老師指定或自由選擇),設計輸入可采用圖形輸入法或AHDL硬件描述語言輸入法。

3、 通過課程設計使學生初步具有分析、尋找和排除電子電路中常見故障的能力。

4、 通過課程設計使學生能獨立寫出嚴謹的、有理論根據的、實事求是的、文理通順的字跡端正的課程設計報告。

5、 考查形式為:結合課程設計中的能力表現和設計報告,綜合評分。

三、與其他課程的聯系與分工

《EDA課程設計》中應用了《模擬電子技術基礎》、《數字電子技術基礎》中的基礎知識和基本理論,并且利用了《電子技術基礎實驗》中的基本實驗方法,在時間上的安排上應該在這些課程之后。

《EDA課程設計》的后續課程是《微機原理》等其它專業課程,《EDA課程設計》中對學生綜合設計能力的培養將為這些后續課程的學習打下良好基礎。

四、主要設備及器材配置

計算機、EDA軟件(MAXPLUS2)、下載實驗箱。

五、本課程的性質及適應對象

自動化、電氣工程、生物醫學工程專業必修

教學大綱內容

設計一 數字式競賽搶答器

1、設計一個可容納6組(或4組)參賽的數字式搶答器,每組設一個按鈕,供搶答使用。

2、搶答器具有第一信號鑒別和鎖存功能,使除第一搶答者外的按鈕不起作用。

3、設置一個主持人“復位”按鈕。

4、主持人復位后,開始搶答,第一信號鑒別鎖存電路得到信號后,有指示燈顯示搶答組別,揚聲器發出2~3秒的音響。

5、設置一個計分電路,每組開始預置100分,由主持人記分,答對一次加10分,答錯一次減10分。 教學提示:

1、 此設計問題的關鍵是準確判斷出第一搶答者并將其鎖存,實現的方法可使用觸發器或鎖存器,在得到第一信號后將輸入封鎖,使其它組的搶答信號無效。

2、 形成第一搶答信號后,用編碼、譯碼及數碼顯示電路顯示第一搶答者的組別,用第一搶答信號推動揚聲器發出音響。

3、 計分電路采用十進制加/減計數器、數碼管顯示,由于每次都是加/減10分,所以個位始終為零,只要十位、百位進行加/減運算即可。

設計二 數字鐘

1、 設計一個能顯示1/10秒、秒、分、時的12小時數字鐘。

2、 熟練掌握各種計數器的使用。

3、 能用計數器構成十進制、六十進制、十二進制等所需進制的計數器。

4、 能用低位的進位輸出構成高位的計數脈沖。

教學提示:

1、時鐘源使用頻率為0.1Hz的連續脈沖。

2、設置兩個按鈕,一個供“開始”及“停止”用,一個供系統“復位”用。

3、時鐘顯示使用數碼管顯示。

4、“時顯示”部分應注意12點后顯示1點。

5、注意各部分的關系,由低位到高位逐級設計、調試。

設計三 數字頻率計

1、 設計一個能測量方波信號的頻率的頻率計。

2、 測量的頻率范圍是0?999999Hz。

3、 結果用十進制數顯示。

教學提示:

1、 脈沖信號的頻率就是在單位時間內所產生的脈沖個數,其表達式為,f為被測信號的頻率,N為計數器所累計的脈沖個數,T為產生N個脈沖所需的時間。所以,在1秒時間內計數器所記錄的結果,就是被測信號的頻率。

2、 被測頻率信號取自實驗箱晶體振蕩器輸出信號,加到主控門的輸入端。

3、 再取晶體振蕩器的另一標準頻率信號,經分頻后產生各種時基脈沖:1ms,10ms,0.1s,1s等,時基信號的選擇可以控制,即量程可以改變。

4、 時基信號經控制電路產生閘門信號至主控門,只有在閘門信號采樣期間內(時基信號的一個周期),輸入信號才通過主控門。

5、 f=N/T,改變時基信號的周期T,即可得到不同的測頻范圍。

6、 當主控門關閉時,計數器停止計數,顯示器顯示記錄結果,此時控制電路輸出一個置零信號,將計數器和所有觸發器復位,為新的一次采樣做好準備。

7、 改變量程時,小數點能自動移位。 設計四 拔河游戲機

1、 設計一個能進行拔河游戲的電路。

2、 電路使用15個(或9個)發光二極管,開機后只有中間一個發亮,此即拔河的中心點。

3、 游戲雙方各持一個按鈕,迅速地、不斷地按動,產生脈沖,誰按得快,亮點就向誰的方向移動,每按一次,亮點移動一次。

4、 亮點移到任一方終端二極管時,這一方就獲勝,此時雙方按鈕均無作用,輸出保持,只有復位后才使亮點恢復到中心。

5、 用數碼管顯示獲勝者的盤數。

教學提示:

1、 按鈕信號即輸入的脈沖信號,每按一次按鈕都應能進行有效的計數。

2、 用可逆計數器的加、減計數輸入端分別接受兩路脈沖信號,可逆計數器原始輸出狀態為0000,經譯碼器輸出,使中間一只二極管發亮。

3、 當計數器進行加法計數時,亮點向右移;進行減法計數時,亮點向左移。

4、 由一個控制電路指示誰勝誰負,當亮點移到任一方終端時,由控制電路產生一個信號,使計數器停止計數。

5、 將雙方終端二極管“點亮”信號分別接兩個計數器的“使能”端,當一方取勝時,相應的計數器進行一次計數,這樣得到雙方取勝次數的顯示。

6、 設置一個“復位”按鈕,使亮點回到中心,取勝計數器也要設置一個“復位”按鈕,使之能清零。

設計五 乒乓球比賽游戲機

1、 設計一個由甲、乙雙方參賽,有裁判的3人乒乓球游戲機。

2、 用8個(或更多個)LED排成一條直線,以中點為界,兩邊各代表參賽雙方的位置,其中一只點亮的LED指示球的當前位置,點亮的LED依此從左到右,或從右到左,其移動的速度應能調節。

3、 當“球”(點亮的那只LED)運動到某方的最后一位時,參賽者應能果斷地按下位于自己一方的按鈕開關,即表示啟動球拍擊球。若擊中,則球向相反方向移動;若未擊中,則對方得1分。

4、 一方得分時,電路自動響鈴3秒,這期間發球無效,等鈴聲停止后方能繼續比賽。

5、 設置自動記分電路,甲、乙雙方各用2位數碼管進行記分顯示,每計滿21分為1局。

6、 甲、乙雙方各設一個發光二極管,表示擁有發球權,每隔5次自動交換發球權,擁有發球權的一方發球才有效。

教學提示:

1、 用雙向移位寄存器的輸出端控制LED顯示來模擬乒乓球運動的軌跡,先點亮位于某一方的第1個LED,由擊球者通過按鈕輸入開關信號,實現移位方向的控制。

2、 也可用計數譯碼方式實現乒乓球運動軌跡的模擬,如利用加/減計數器的2個時鐘信號實現甲、乙雙方的擊球,由表示球拍的按鈕產生計數時鐘,計數器的輸出狀態經譯碼驅動LED發亮。

3、 任何時刻都保持一個LED發亮,若發亮的LED運動到對方的終點,但對方未能及時輸入信號使其向相反方向移動,即失去1分。

4、 控制電路決定整個系統的協調動作,必須嚴格掌握各信號之間的關系。

設計六 交通信號等控制器

1、 設計一個交通信號燈控制器,由一條主干道和一條支干道匯合成十字路口,在每個入口處設置紅、綠、黃三色信號燈,紅燈亮禁止通行,綠燈亮允許通行,黃燈亮則給行駛中的車輛有時間停在禁行線外。

2、 紅、綠、黃發光二極管作信號燈,用傳感器或邏輯開關作檢測車輛是否到來的信號。

3、 主干道處于常允許通行的狀態,支干道有車來時才允許通行。主干道亮綠燈時,支干道亮紅燈;支干道亮綠燈時,主干道亮紅燈。

4、 主、支干道均有車時,兩者交替允許通行,主干道每次放行45秒,支干道每次放行25秒,設立45秒、25秒計時、顯示電路。

5、 在每次由綠燈亮到紅燈亮的轉換過程中,要亮5秒黃燈作為過渡,使行駛中的車輛有時間停到禁行線外,設立5秒計時、顯示電路。

教學提示:

1、 主、支干道用傳感器檢測車輛到來情況,實驗電路用邏輯開關代替。

2、 選擇1HZ時鐘脈沖作為系統時鐘。

3、 45秒、25秒、5秒定時信號可用順計時,也可用倒計時,計時起始信號由主控電路給出,每當計滿所需時間,即向主控電路輸出“時間到”信號,并使計數器清零,由主控電路啟、閉三色信號燈或啟動另一計時電路。

4、 主控電路是核心,這是一個時序電路,其輸入信號為:車輛檢測信號(A,B,;45秒、25秒、5秒定時信號(C,D,E),其輸出狀態控制相應的三色燈。主控電路可以由兩個JK觸發器和邏輯門構成,其輸出經譯碼后,控制主干道三色燈R、G、Y和支干道三色燈r、g、y。

設計七 電子密碼鎖

1、 設計一個密碼鎖的控制電路,當輸入正確代碼時,輸出開鎖信號以推動執行機構工作,用紅燈亮、綠燈熄滅表示關鎖,用綠燈亮、紅燈熄滅表示開鎖;

2、 在鎖的控制電路中儲存一個可以修改的4位代碼,當開鎖按鈕開關(可設置成6位至8位,其中實際有效為4位,其余為虛設)的輸入代碼等于儲存代碼時,開鎖;

3、 從第一個按鈕觸動后的5秒內若未將鎖打開,則電路自動復位并進入自鎖狀態,使之無法再打開,并由揚聲器發出持續20秒的報警信號。 教學提示:

1、 該題的主要任務是產生一個開鎖信號,而開鎖信號的形成條件是,輸入代碼和已設密碼相同。實現這種功能的電路構思有多種,例如,用兩片8位鎖存器,一片存入密碼,另一片輸入開鎖的代碼,通過比較的方式,若兩者相等,則形成開鎖信號。

2、 在產生開鎖信號后,要求輸出聲、光信號,聲音的產生由開鎖信號觸動揚聲器工作,光信號由開鎖信號點亮LED指示燈;

3、 用按鈕開關的第一個動作信號觸發一個5秒定時器,若5秒內無開鎖信號產生,讓揚聲器發出特殊音響,以示警告,并輸出一個信號推動LED不斷閃爍。

設計八 彩燈控制器

1、 設計一個彩燈控制器,使彩燈(LED管)能連續發出四種以上不同的顯示形式;

2、隨著彩燈顯示圖案的變化,發出不同的音響聲。

教學提示:

1、彩燈顯示的不同形式可由不同進制計數器驅動LED顯示完成;

2、 音響由選擇不同頻率CP脈沖驅動揚聲器形成。

設計九 脈沖按鍵電話顯示器

1、 設計一個具有8位顯示的電話按鍵顯示器;

2、 能準確地反映按鍵數字;

3、 顯示器顯示從低位向高位前移,逐位顯示按鍵數字,最低位為當前輸入位;

4、 *設置一個“重撥”鍵,按下此鍵,能顯示最后一次輸入的電話號碼;

5、 *掛機2秒后或按熄滅按鍵,熄滅顯示器顯示。 教學提示:

1、 利用中規模計數器的予置數功能可以實現不同的按鍵對應不同的數字;

2、 設置一個計數器記錄按鍵次數,從而實現數字顯示的移位。

設計十 簡易電子琴

1、 設計一個簡易電子琴;

2、 利用實驗箱的脈沖源產生1,2,3,。。。共7個或14個音階信號;

3、 用指示燈顯示節拍;

4、 *能產生顫音效果。

教學提示:

1、各音階信號由脈沖源經分頻得到。

設計十一 出租車自動計費器

1、 設計一個出租車自動計費器,具有行車里程計費、等候時間計費、及起價三部分,用四位數碼管顯示總金額,最大值為99。99元;

2、 行車里程單價1元/公里,等候時間單價0。5元/10分鐘,起價3元(3公里起價)均能通過人工輸入。

3、 行車里程的計費電路將汽車行駛的里程數轉換成與之成正比的脈沖數,然后由計數譯碼電路轉換成收費金額,實驗中以一個脈沖模擬汽車前進十米,則每100個脈沖表示1公里,然后用BCD碼比例乘法器將里程脈沖乘以每公里單價的比例系數,比例系數可由開關預置。例如單價是1。0元/公里,則脈沖當量為0。01元/脈沖。

4、 用LED顯示行駛公里數,兩個數碼管顯示收費金額。

教學提示:

1、 等候時間計費需將等候時間轉換成脈沖個數,用每個脈沖表示的金額與脈沖數相乘即得計費數,例如100個脈沖表示10分鐘,而10分鐘收費0。5元,則脈沖當量為0。05元/脈沖,如果將脈沖當量設置成與行車里程計費相同(0。01元/脈沖),則10分鐘內的脈沖數應為500個。

2、 用LED顯示等候時間,兩個數碼管表示等候時間收費金額。

3、 用加法器將幾項收費相加,P=P1+P2+P3,

4、 P1為起價,P2為行車里程計費,P3為等候時間計費,用兩個數碼管表示結果。

設計十二 洗衣機控制器

1、 設計一個電子定時器,控制洗衣機作如下運轉:定時啟動?正轉20秒?暫停10秒?反轉20秒?暫停10秒?定時未到回到“正轉20秒?暫停10秒?……”,定時到則停止;

2、 若定時到,則停機發出音響信號;

3、 用兩個數碼管顯示洗滌的預置時間(分鐘數),按倒計時方式對洗滌過程作計時顯示,直到時間到停機;洗滌過程由“開始”信號開始;

4、三只LED燈表示“正轉”、“反轉”、“暫停”三個狀態。 教學提示:

1、 設計20秒、10秒定時電路。

2、 電路輸出為“正轉”、“反轉”、“暫停”三個狀態。

3、 按照設計要求,用定時器的“時間到”信號啟動相應的下一個定時器工作,直到整個過程結束。

建議選用教材和參考書目

選用教材: 《電子技術實驗指導書》,李國麗,朱維勇主編。中國科技大學出版社 主要參考書:《電子技術基礎

模擬部分》(第四版),康華光主編,高教出版社

《數字電子技術基礎》(第四版),閻石主編,高教出版社

本文來自 99學術網(www.gaojutz.com),轉載請保留網址和出處

上一篇:epc項目管理控制要點下一篇:告別七年級作文600字

91尤物免费视频-97这里有精品视频-99久久婷婷国产综合亚洲-国产91精品老熟女泄火